quartusII创建第一个VHDL程序

选择file---new project wizard

点击next,这一步忽略

输入项目的名称,自己写就可以,随便什么,不要有空格

默认选择空文件-----next

如果需要增加写好的文件,自己选择,不需要就忽略,直接next

选择硬件器件型号,打包文件中有一个系列的器件,在安装quartus的嘶吼顺便安上就可以了

我这里选择常用的ep4ce6e22c8

暂时不需要仿真,simulation选择none,如何需要,先安装modelsim 打包文件中有该软件安装包

直接next---finish,最后会显示一些信息,新手可以直接忽略

接下来,创建文件file----new

选择VHDL文件,这里以VHDL为例子,Verialog一样的

确定后,空白文件上敲上代码,这里是一个编码器的代码,注意,这是个错误示例,圈出来的部分特别提示,名称要一致

要么代码改成test,要么左侧文件名为corder_8_3,也就是点击保存之后的文件名要写成跟程序一致



保存


保存好文件之后,可以点击编译,上面三角形

成功编译综合的样子是下面,必须是四个钩,有一个都是有问题的

这样,第一个VHDL程序就完成了,测试方式可以仿真,也可以烧写到可编程器件中去运行,这里就不多叙述了

  • 17
    点赞
  • 49
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值